2020-05-30から1日間の記事一覧

main

パッケージ名を宣言していない場合、そこの変数や関数はmainパッケージに含まれる。package - パッケージの宣言 - Perl入門ゼミ最初のprintは組み込み関数printとして呼ばれる。 その後、printを定義していて、パッケージ名がこのファイルには宣言されていな…