ACCELERATORS

アクセラレータキーのさまざまなパターンをみていこう・・・。

Ctrl + B
'^'はCtrlキーと同時押しを表す・・・。
これまで'B'と書いてるが、正確にはShiftは押さなくていいので、小文字の'b'かな・・・。

Shift + C
大文字で'C'だけの場合は、Shiftと'c'の同時押しで結果的に'C'・・・。

Alt + D
0x64はASCIIコードで'd'・・・。
だから、リソースIDのあとに"ASCII"とつけている・・。
そのあとにさらに"Alt"とつけて、Altと'd'同時押し・・・。

Ctrl + F
"VIRTKEY"は、ASCIIコードではなく、仮想キーで指定ということ・・・。
で、そのあとに"CONTROL"だから、Ctrl + F・・・。
仮想キーといっても、文字の仮想キーは文字そのものと同じなので、"F"とそのまま・・・。

Shift + G
"VIRTKEY"と"SHIFT"なので、ShiftとGの同時押し・・・。
つまり大文字のGともいえる・・・。

Alt + H
"VIRTKEY"と"ALT"なので、AltとHの同時押し・・・。

F1
"VK_F1"は、F1キーの仮想キーコード・・・。
それで"VIRTKEY"なのである・・・。F1を押したときに発生・・・。

Shift + Ctrl + K
"SHIFT"と"CONTROL"両方あるので、ShiftとCtrlとKの同時押し・・・。

Shift + Ctrl + Alt + L
"SHIFT", "CONTROL", "ALT", 3つあるので、ShiftとCtrlとAltとLの同時押し・・・。

全部はできないので、一部だけ・・・。

Item1-3は、

f:id:BG1:20150605221717p:plain

Alt + Dなので、これを押してみると、

f:id:BG1:20150605221813p:plain

Item1-3が表示された・・・。

Item2-2は、

f:id:BG1:20150605222039p:plain

Shift + Gなので、これを押す・・・。

f:id:BG1:20150605222141p:plain

Item2-2だ・・・。

最後はItem3-3をやる・・・。

f:id:BG1:20150605222339p:plain

Shift + Ctrl + Alt + Lの同時押し・・・。

f:id:BG1:20150605222442p:plain

Item3-3が表示された・・・。
こんな風にアクセラレータキーを設定できる・・・。

Sample/ACCELERATORS.cpp at master · bg1bgst333/Sample · GitHub
Sample/resource.h at master · bg1bgst333/Sample · GitHub
Sample/ACCELERATORS.rc at master · bg1bgst333/Sample · GitHub